DIR_LEFT          318 modules/games/gui_snake.c                 if(direction != DIR_RIGHT) direction = DIR_LEFT;
DIR_LEFT          321 modules/games/gui_snake.c                 if(direction != DIR_LEFT) direction = DIR_RIGHT;
DIR_LEFT          352 modules/games/gui_snake.c     else if (direction == DIR_LEFT)
DIR_LEFT          413 modules/games/gui_snake.c         else if (snake[new_cord][0] > snake[new_head][0] && snake[new_cord][1] > snake[new_head][1] && direction == DIR_LEFT)
DIR_LEFT          423 modules/games/gui_snake.c         else if (snake[new_cord][0] > snake[new_head][0] && snake[new_cord][1] < snake[new_head][1] && direction == DIR_LEFT)
DIR_LEFT          427 modules/games/gui_snake.c         else if (prevdir == direction && (direction == DIR_RIGHT || direction == DIR_LEFT))